fn __action357<'input>(
    input: &'input str,
    __0: (usize, Vec<Box<Goal>>, usize),
    __1: (usize, &'input str, usize),
    __2: (usize, Box<Goal>, usize)
) -> Vec<Box<Goal>>